ECE 368 EXAM 1 Chris Edition Flashcards

1
Q

How do you convert a binary number to two’s compliment (assuming a negative value is desired)?

A

Change all 0’s to 1’s and all 1’s to 0’s, then add 1 to the final result

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
2
Q

How do you convert a binary number to a hexadecimal number?

A

Take groups of four bits starting from the right of the binary number and transform them to the corresponding.

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
3
Q

How do you convert a binary number to one’s compliment (assuming a negative value is desired)?

A

Change all 0’s to 1’s and all 1’s to 0’s

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
4
Q

What does VHDL stand for?

A

VHSIC (Very High Speed Integrated Circuit) Hardware
Description Language

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
5
Q

What is the main purpose for using VHDL?

A

Circuit synthesis and implementation with an FPGA

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
6
Q

What is synthesis in VHDL?

A

The translation of source code into a hardware
structure that implements the intended functionality

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
7
Q

What is simulation in VHDL

A

A testing procedure to ensure that such
functionality is indeed achieved by the synthesized circuit

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
8
Q

Are all constructs in VHDL able to be simulated?

A

Yes

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
9
Q

Are all constructs in VHDL able to be synthesized?

A

No

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
10
Q

Are statements in VHDL (With exception of process) executed in parallel or series?

A

Parallel

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
11
Q

Is VHDL case sensitive?

A

No (With a single exception)

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
12
Q

How do you trigger comments in VHDL?

A

By using a ‘- -‘ (Double dash)

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
13
Q

How do you terminate a statement in VHDL?

A

By using a ‘;’

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
14
Q

Identifiers in VHDL must always start with:

A

An alphabetic letter

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
15
Q

Identifiers in VHDL can contain:

A

Letters, digits, and the ‘_’ character

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
16
Q

Can a VHDL identifier end with ‘_’?

A

No

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
17
Q

Can a VHDL identifier contain successive ‘_’ characters (ex: ‘__’) ?

A

No

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
18
Q

Are the following identifiers valid?
1) A
2) X0
3) last@value
4) Next_Value
5) 5bit_counter
6) _A0
7 ) A0_
8) Clock__Pulse

A

1) Yes
2) Yes
3) No (Can’t have ‘@’)
4) Yes
5) No (Can’t start with ‘5’)
6) No (Can’t start with ‘_’)
7) No (Can’t end with ‘_’)
8) No (Can’t contain '__’)

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
19
Q

What are the 3 fundamental VHDL code sections?

A

1) Library Declarations
2) Entity
3) Architecture

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
20
Q

What does the Library Declarations section of VHDL code consist of?

A

Lists all libraries and respective packages needed in the design

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
21
Q

What does the Entity section of VHDL code consist of?

A

Specifies the I/O ports of the circuit, plus (optional) generic constants

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
22
Q

What does the Architecture section of VHDL code consist of?

A

Describes how the circuit behaves, from which a compliant hardware is inferred.

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
23
Q

What are the three different VHDL architectures?

A

1) Structural
2) Behavioral
3) Dataflow

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
24
Q

How does the dataflow architecture work?

A

Describes how the data flows from the inputs to the output most often using NOT, AND and OR operations.

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
25
Q

Structural VHDL allows the designer to represent a system in terms of ______________ and their ______________.

A

1) Components
2) Interconnections

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
26
Q

(T/F) Structural descriptions can show a more concrete relation between code and physical hardware.

A

True

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
27
Q

(T/F) Behavioral descriptions show interconnections at any level of abstraction.

A

False (This would be true if Behavioral were replaced by Structural)

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
28
Q

In structural architecture, how are components interconnected?

A

With the use of signals

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
29
Q

In structural architecture, how are components declared? (Obvious answer)

A

With component declarations

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
30
Q

In structural architecture, how are components instantiated? (OBVIOUS ASS ANSWER)

A

With component instantiation statements

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
31
Q

What is component declaration in structural VHDL?

A

Defines kind of module used, specifies external interface
to component in terms of generic constants and ports.

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
32
Q

(T/F) A different name for the component in comparison to the entity of the component module is used for component declaration

A

False (The same name is used)

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
33
Q

(T/F) Component declaration uses the same port declaration as a module entity declared as component

A

True

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
34
Q

(T/F) Component declaration is outside architecture body with signal declaration

A

False (Inside the architecture body, just before the ‘BEGIN’)

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
35
Q

(T/F) Component instantiation is located outside architecture body

A

False (Inside the architecture body, just after the ‘BEGIN’)

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
36
Q

What is component instantiation?

A

Specifies usage of module in design

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
37
Q

What are the two ways ports can be assigned?

A

1) By name
2) By position

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
38
Q

(T/F) Order is not important when assigning ports by position

A

False (Position is crucial)

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
39
Q

How do you assign ports by name in VHDL (Syntax)?

A

Name_of_component_port => Entity_Port_name_or_signal

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
40
Q

(T/F) The order of component ports is explicitly assumed to be the same as in component declaration

A

True

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
41
Q

Are \clk\, \CLK\, and \Clk\ considered different names/identifiers?

A

Yes (VHDL is not case sensitive only when identifier surrounded by backslashes)

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
42
Q

Is arch a reserved VHDL word?

A

No

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
43
Q

What is an identifier used for in VHDL?

A

They are used to name VHDL items such as signals, variables, entity declarations, etc.

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
44
Q

What delimiter is used to assign a value to a signal?

A

<=

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
45
Q

What delimiter is used to assign a value to a variable, constant, and initial value of a signal?

A

:=

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
46
Q

How many bits does the type integer operate with?

A

Up to 32 bits

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
47
Q

(T/F) Xnor is a reserved VHDL word

A

True

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
48
Q

(T/F) a delimiter with one character is referred to as a compound delimiter.

A

False (This is a simple delimiter)

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
49
Q

How many synthesizable characters are there in VHDL?

A

256

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
50
Q

(T/F) Single quotes indicate a single character/bit whereas double quotes indicate a string of characters/bits

A

True

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
51
Q

(T/F) Component is a VHDL reserved word

A

True

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
52
Q

(T/F) The “.all” extension makes all elements of a certain package visible

A

True

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
53
Q

What is an object in VHDL?

A

An object is a named item of a specific type that has a
value of said type.

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
54
Q

What are the four VHDL objects?

A

1) Signal
2) Variable
3) Constant
4) File

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
55
Q

(T/F) Objects declared in a process are available to all VHDL descriptions

A

False (Only available to within process)

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
56
Q

(T/F) Objects declared in an entity are available to all
architectures associated with that entity

A

True

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
57
Q

(T/F) Objects declared in an architecture are available to all statements in the entity

A

False (Only available within the scope of the architecture)

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
58
Q

(T/F) Objects declared in an entity are available to all
architectures associated with that entity as well as the architecture

A

False (Only available within the scope of the entity)

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
59
Q

What is a constant in VHDL?

A

An object whose value can not be changed
Syntax: CONSTANT constant_name: constant_type := constant_value;

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
60
Q

What VHDL type can a constant be?

A

Any!!!

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
61
Q

What is a deferred constant?

A

A constant declared without its value

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
62
Q

What does the keyword others help to accomplish?

A

Represents all index value that were left unspecified
Syntax Example: CONSTANT b: BIT_VECTOR(7 DOWNTO 0) := (7 => ‘0’, OTHERS => ‘1’)

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
63
Q

What is a signal in VHDL?

A

An object that passes values in and out of the circuit, as well as between its internal units (i.e a wire).
Syntax: SIGNAL signal_name: signal_type[range] [:= default_value];

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
64
Q

Are signal declarations allowed in sequential code?

A

FOOO

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
65
Q

Can signals be used in sequential code?

A

Yes

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
66
Q

(T/F) All ports of an entity are constants by default

A

False (Signals)

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
67
Q

(T/F) Default values for signals is recommended in code that is for synthesis

A

False (Not recommended in synthesis code)

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
68
Q

What is a variable in VHDL?

A

An object that represents local information
Syntax: VARIABLE variable_name: variable_type[range] [:= default_value];

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
69
Q

Name the 10 synthesizable data types from standard package

A

1) BIT
2) BIT_VECTOR
3) BOOLEAN
4) BOOLEAN_VECTOR
5) INTEGER
6) NATURAL
7) POSITIVE
8) INTEGER_VECTOR
9) CHARACTER
10) STRING

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
70
Q

(T/F) A scalar type is declared using the keyword type.

A

True
Syntax: type type_name is type_definition

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
71
Q

(T/F) An array type is declared using the keyword type and vector.

A

False (vector should be array)
Syntax: type type_name is array (range_spec) of base_type_name [range_spec]

How well did you know this?
1
Not at all
2
3
4
5
Perfectly
72
Q

What is a subtype in VHDL?

A

A subtype is a type with a constraint

73
Q

(T/F) Type Bit can either be TRUE or FALSE

A

False (Either ‘1’ or ‘0’)

74
Q

(T/F) Type Bit supports logic operations (OR, AND, etc.)

A

True

75
Q

What is a bit vector in VHDL (Yes its as obvious as you think)

A

A vector of bits (Told you)

76
Q

What four operations does a bit vector support?

A

1) Logical
2) Comparison
3) Shift
4) Concatenation

77
Q

(T/F) For logical and shift operations, the vectors are required to have half the length of the output signal.

A

False (Lengths must be the same)

78
Q

What two values can type Boolean be?

A

True and False

79
Q

(T/F) The Boolean vector supports the same four operations as a bit vector (Logical, Comparison, Shift, and Concatenation)

A

True

80
Q

(T/F) The actual bounds are referred to as INTEGER’LOW (on the left) and INTEGER’HIGH (on the right)

A

True

81
Q

(T/F) Natural is a subtype of Boolean

A

False (It is a subtype of integer)

82
Q

(T/F) Natural is a subtype of bit

A

False (It is a subtype of integer)

83
Q

What two operations does the integer type support?

A

1) Arithmetic
2) Comparison

84
Q

What operator does type character support?

A

Comparison

85
Q

How many bits are required to represent a character?

A

8 bits (1 byte)

86
Q

(T/F) A string is an array type of character

A

True

87
Q

What are the nine values type std_logic can take on?

A

1) ‘X’ (Forced unknown)
2) ‘0’ (Forced low)
3) ‘1’ (Forced High)
4) ‘Z’ (High Impedance)
5) ‘W’ (Weak unknown)
6) ‘L’ (Weak low)
7) ‘H’ (Weak high)
8) ‘/-‘ (Don’t care)
9) ‘U’ (Unresolved)

88
Q

What are three benefits of using a tri-state buffer?

A

1) Artificially create delay
2) Increase current fed to other devices
3) Controls what devices are able to access a data bus

89
Q

(T/F) High impedance circuits are high current, low voltage (A wire)

A

False (High voltage, low current (An open))

90
Q

What is the output for a Tri-state buffer when the control is low? What is the output for a Tri-state buffer when the control is high?

A

1) High impedance (Z)
2) The value seen at the input

91
Q

(T/F) STD_ULOGIC is a subtype of STD_LOGIC

A

False (STD_LOGIC is a subtype of STD_ULOGIC)

92
Q

(T/F) STD_LOGIC_VECTOR is a subtype of STD_ULOGIC_VECTOR

A

FALSE (BACKWARDS)

93
Q

What are the types signed and unsigned subtypes of?

A

std_logic

94
Q

What are the types fixed and float subtypes of?

A

std_logic

95
Q

LEGAL OR ILLEGAL

SIGNAL a: BIT;
SIGNAL b: BIT_VECTOR (7 DOWNTO 0);
SIGNAL c: STD_LOGIC;
SIGNAL d: STD_LOGIC_VECTOR (7 DOWNTO 0);
SIGNAL e: INTEGER RANGE 0 TO 255;
1) a <= b(5);
2) b(0) <= a;
3) c <= d(5);
4) d(0) <= c;
5) a <= c;
6) b <= d;
7) e <= b;
8) e <= d;

A

1) LEGAL
2) LEGAL
3) LEGAL
4) LEGAL
5) ILLEGAL (TYPE MISMATCH)
6) ILLEGAL (TYPE MISMATCH)
7) ILLEGAL (TYPE MISMATCH)
8) ILLEGAL (TYPE MISMATCH)

96
Q

What is the identifier used for data concatenation?

A

&

97
Q

(T/F) When aggregating data, the others keyword must come first

A

False (Must come last)
EX: (‘1’, ‘1’, others => ‘0’)

98
Q

(T/F) Concatenation of aggregates is legal

A

True

99
Q

(T/F) Parenthesis are mandatory for concatenation

A

False (Optional)

100
Q

(T/F) Parenthesis are mandatory for data aggregation

A

True

101
Q

What does the resize function do?

A

It is used to extend or reduce the size of arrays

102
Q

How is an unsigned extension performed?

A

Append ‘0’ to front of vector until ideal size reached

103
Q

How is an unsigned reduction performed?

A

Remove frontmost bits until ideal size reached

104
Q

How is a signed extension performed?

A

Append sign bit to front of vector until ideal size reached

105
Q

How is a signed reduction performed?

A

Keep frontmost bit as sign bit, then remove bits afterwards until ideal size reached

106
Q

What are the three types of type conversions?

A

1) Automatic
2) Type Cast
3) Type-conversion function

107
Q

What is the purpose of behavioral architecture in VHDL

A

To show the output is derived from the inputs using
structured statements

108
Q

What are the two types of statements for behavioral architecture?

A

1) Concurrent
2) Sequential

109
Q

What type of circuits are concurrent statements useful for?

A

Combinational circuits

110
Q

What is combinational logic?

A

When the output only depends on the current input (No memory)

111
Q

What are the three purely concurrent statements?

A

WHEN,SELECT, GENERATE

WHEN EX: y <= “00” WHEN (a AND b) = “01” ELSE
“11” WHEN (a AND b) = “10” ELSE
“ZZ”; – high impedance

SELECT EX: WITH identifier SELECT
assignment_expression WHEN values,
assignment_value WHEN values,
……;

112
Q

Does the when statement require all outputs to be specified?

A

No, but it is recommended

113
Q

What does the unaffected keyword do?

A

It keeps the state of the signal the same

114
Q

(T/F) Multiple values are accepted in SELECT statement, which can only be grouped with “|” or “TO”

A

True

115
Q

(T/F) The SELECT statement requires that all input values be covered

A

True

116
Q

(T/F) The generate statement is analogous to that of a sequential loop statement

A

True

117
Q

What are the two types of generate statements?

A

1) Conditional
2) Unconditional

118
Q

What is the FOR-GENERATE used for?

A

To create multiple instances of a section of code

119
Q

(T/F) Range for generate statements is required to be dynamic

A

False (Static)

120
Q

Where is a generic declared?

A

Before the port in the entity

121
Q

(T/F) Generics are truly global

A

True

122
Q

Are generics constant?

A

Yes

123
Q

Are generics synthesizable?

A

Yes

124
Q

What is the => operator used for?

A

Assigning values to array elements

125
Q

What is the := operator used for?

A

Assigning values to a variable, constant, and initializing a signal

126
Q

What is the <= operator used for?

A

Assigning values to a signal

127
Q

(T/F) All logical operators have equal precedence

A

False (NOT has higher precedence)

128
Q

What are the seven logical operators in VHDL?

A

1) NOT
2) OR
3) NOR
4) AND
5) NAND
6) XOR
7) XNOR

129
Q

(T/F) Parenthesis can be used to control precedence of logical operators

A

True

130
Q

Do unary operators take an operand from the left or right?

A

Right

131
Q

Do binary operators take an operand from the left or right?

A

Both (TRICK QUESTION LOL)

132
Q

abs(x) returns:

A

The absolute value of x

133
Q

x REM y returns:

A

Remainder of x/y with sign of x

134
Q

x MOD y returns:

A

Remainder of x/y with sign of y

135
Q

What are the eight arithmetic operators?

A

1) + (add)
2) - (Subtract)
3) * (Multiply)
4) / (Divide)
5) ** (Exponentiation)
6) ABS (Absolute value)
7) REM (Remainder)
8) MOD (Modulo)

136
Q

What are the six comparison operators?

A

1) Equals to (=)
2) Not equal (/=)
3) Less than (<)
4) Greater than (>)
5) Less than or equal (<=)
6) Greater than or equal (>=)

137
Q

(T/F) The synthesizable predefined data types that support comparison operators are BIT, BIT_VECTOR, BOOLEAN, INTEGER, NATURAL, POSITIVE, CHARACTER, and STRING

A

True

138
Q

Can bit vectors of different lengths be equal

A

No, different sized bit vectors never equal

139
Q

What happens when doing comparisons of two differently sized bit vectors (Not including equal or unequal)

A

Leftmost bits of longer vector discarded

140
Q

(T/F) When doing comparisons of bit vectors (Not including equal or unequal), if the vectors being compared become equal, the longer vector is considered larger than the other.

A

True

141
Q

For all other SU-based types (not bit vector), are different sized vectors allowed in comparisons?

A

Yes

142
Q

For all other SU-based types (not bit vector), what happens when the vectors are different sizes?

A

The shorter vector is resized to the length of the longer vector

143
Q

What are the six shift operators in VHDL?

A

1) Shift left logic (SLL): positions on the right are filled with ‘0’s
2) Shift right logic (SRL) : positions on the left are filled with ‘0’s
3) Shift left arithmetic (SLA): rightmost bit is replicated on the right.
4) Shift right arithmetic (SRA): leftmost bit is replicated on the left
5) Rotate left (ROL): circular shift to the left
6) Rotate right (ROR): circular shift to the right

144
Q

x = “01001”
1) y <= x SLL 2;
2) y <= x SLA 2;
3) y <= x SRL 3;
4) y <= x SRA 3;
5) y <= x ROL 2;
6) y <= x SRL -2;

A

1) “00100”
2) “00111”
3) “00001”
4) “00001”
5) “00101”
6) “00100”

145
Q

(T/F) Reduction operators perform the binary logical operation on each individual bit in the string

A

True

146
Q

What does T’Ascending return?

A

True if elements in T are in ascending order

147
Q

What does T’Left return?

A

Leftmost value of T

148
Q

What does T’POS(X) return?

A

Position number of X as an integer

149
Q

What does T’RIGHTOF(x) return?

A

Value on the right of the position number X in T

150
Q

(T/F) Predefined attributes can be applied to matrix types

A

True

151
Q

(T/F) Data types can not be defined by the user

A

False
Syntax: TYPE type_name IS RANGE range_specifications;
or
TYPE type_name IS (type_values_list);
or
TYPE type_name IS ARRAY (range_specs) OF element_type;

152
Q

How do you index a 1D x 1D array?

A

(x)(y) (x and y any integer index)

153
Q

How do you index a 2D array?

A

(x, y) (x and y any integer index)

154
Q

How do you index a 1D x 1D x 1D array?

A

(x)(y)(z) (x, y, and z any integer index)

155
Q

How do you index a 3D array?

A

(x, y, z) (x, y, and z any integer index)

156
Q

What are the main official VHDL packages?

A

“std”
“ieee”

157
Q

How many packages are in the main official VHDL libraries?

A

Three in “std”.

Twelve in “ieee”.

158
Q

What is one of the most important packages in VHDL? what does it do?

A

from the ieee library: “std_logic_1164”.
defines the nine-value type std_ulogic, and std_ulogic_vector.

159
Q

How do you make a package visible to the compilier?

A

Declare it with library and use clauses.
library library_name;
use library_name. package_name. all;

160
Q

What clauses are introduced automatically in VHDL projects?

A

library “std”, “work”;

use std.standard.all;

161
Q

What are the most frequently used packages and libraries?

A

Package “standard” from the “std “library.

Library “work”
Package “std_logic/_1164”, from the “ieee” library
Package “numeric/_std”, from the “ieee” library

162
Q

where is the “textio” package from and what is it used for?

A

from the library “std”

For dealing with text and files.

163
Q

where is the “env” package from and what is it used for?

A

from the library “std”
For communication with the simulation environment.

164
Q

What package can implement both fixed point and floating point arithmetic circuits?

A

Library ieee “fixed_float_types”

165
Q

what does the package “numeric_bit” do

A

Introduces the types signed and unsigned and corresponding operators with bit as base type.

166
Q

What does the package “numeric_bit_unsigned” do?

A

Package numeric_bit_unsigned: Contains operators and other functions for type bit_vector.

167
Q

What does the package “numeric_bit_unsigned” do?

A

Package numeric_bit_unsigned: Contains operators and other functions for type bit_vector.

168
Q

What library declaration do you use for arithmetic functions with Signed or Unsigned values?

A

“IEEE.NUMERIC_STD.ALL”

169
Q

What does the package “Fixed_generic_pkg” do?

A

Defines the unsigned and signed fixed-point types ufixed and
sfixed, respectively,

170
Q

What does the package “standard” do?

A

For implementing all sorts of logic and integer based arithmetic circuits but with major limitations; not recommended for arithmetic circuits and circuit ports

171
Q

What does the package “std_logic_1164” do?

A

For Implementing any logic or arithmetic circuit (for the latter,
must associate with another package, like #7, #10, or #13)

172
Q

What does the package “numeric_bit” do?

A

For implementing integer arithmetic circuits with type
unsigned or signed; has bit as base type

173
Q

What does the package “numeric_std” do?

A

For implementing integer arithmetic circuits with type
unsigned or signed; has std_ulogic as base type

174
Q

What does the package “numeric_bit_unsigned” do?

A

For doing unsigned operations with type bit_ vector

175
Q

What does the package “numeric_std_unsigned” do?

A

For doing unsigned operations with type std_ulogic_vector

176
Q

What does the packages “fixed_pkg”, “fixed_generic_pkg”, and “fixed_float_types” do?

A

For implementing fixed-point arithmetic circuits

177
Q

What does the packages “fixed_float_types”, “float_pkg”, and “float_generic_pkg” do?

A

For implementing floating-point arithmetic circuits

178
Q

What does the package “math_real” do?

A

For determining generic parameters (support not required for
synthesis, but might exist for real values that are static)